DIKWP Semantic Computing Chip Architecture White Paper
通用人工智能AGI测评DIKWP实验室
DIKWPSemantic Computing Chip Architecture White Paper
International Standardization Committee of Networked DIKWPfor Artificial Intelligence Evaluation(DIKWP-SC)
World Academy for Artificial Consciousness(WAAC)
World Artificial Consciousness CIC(WAC)
World Conference on Artificial Consciousness(WCAC)
(Email: duanyucong@hotmail.com)
Background and Market Opportunities
Today, global AI chip technology is moving from a model-driven era dominated by computing power stacking towards a new stage of intelligence/semantization that integrates cognition and semantic understanding. Traditional AI accelerators (such as GPUs, TPUs, etc.) are mainly good at high-speed matrix operations and deep network inference, but can only handle pattern recognition at the data level, lacking understanding and utilization of the semantics and context behind the information. As AI applications expand from perception to cognitive decision-making, there is an urgent market need for chips that can directly support semantic processing and reasoning at the hardware level, helping AI systems achieve situational awareness, explainable reasoning, and autonomous decision-making.
This trend has been reflected in both industry and academia: NVIDIA CEO Jensen Huang pointed out that future AI systems must have real-time situational awareness and intelligent generation capabilities; experts like Professor Yiran Chen also predict that future AI hardware will be composed of explainable hardware modules, each corresponding to a specific algorithm, achieving the fusion of neural and symbolic approaches to balance universality, performance, and explainability. This indicates that cognitive chips are becoming one of the core directions of AI chip evolution, aiming to introduce semantic and logical reasoning capabilities into hardware, breaking through the limitations of current "black box" AI models.
Currently, several types of intelligent chips with different paths are being explored. For example, brain-inspired/neuromorphic chips (such as IBM TrueNorth, Intel Loihi) attempt to mimic the human brain from the underlying neuronal structure, using event-driven spiking networks to improve energy efficiency and achieve continuous learning. These chips are effective in low-power autonomous intelligence but mainly focus on simulating neural signal processing, lacking direct representation capabilities for high-level semantic knowledge. Another type is specialized AI accelerators (such as Cambricon, Huawei Ascend, Google TPU, etc.), which adopt domain-specific architectures to accelerate deep learning operations, providing huge computing power support for tasks like image recognition and natural language processing. However, these architectures rely on large-scale training data to extract patterns, essentially remaining at the data and information level, powerless in knowledge reasoning and causal understanding. In complex decision-making scenarios, existing AI systems often "know the how but not the why," making it difficult to trace the basis of decisions and presenting an explainability bottleneck.
Facing this evolutionary trend, the DIKWP model proposed by Professor Yucong Duan divides the cognitive process into five layers: Data, Information, Knowledge, Wisdom, and Purpose. This model adds "Purpose" to the top of the classic DIKW (pyramid) framework, emphasizing the embedding of human-expected goals and values within the AI system, and through layer-by-layer semantic refinement and bidirectional feedback, makes every step of decision-making traceable and understandable (which is also key to achieving "White Box AI"). The DIKWP model represents a semantic-driven cognitive computing paradigm: data forms knowledge through information extraction, knowledge sublimates into wisdom, and finally, wisdom is transformed into action guided by purpose. The DIKWP Semantic Chip is an innovative practice conforming to this concept, aiming to solidify the DIKWP model onto the chip architecture, supporting five-layer semantic processing and purpose-oriented reasoning from the hardware level.
For chip manufacturers and investors, the DIKWP semantic chip represents the core direction of next-generation cognitive chips, with broad market opportunities:
Explainable AI Terminals: Countries are increasingly demanding AI explainability and security controllability. Devices equipped with DIKWP chips can understand user intentions and explain decision reasons locally in real-time, improving the credibility and compliance of AI systems, and seizing high-end markets like healthcare and finance that value reliability.
Intelligent Scenario Applications: As AI enters scenarios such as industry, transportation, and urban governance, the demand for scene semantic understanding and autonomous decision-making has surged. DIKWP chips can endow edge devices with cognitive reasoning capabilities, enabling them to flexibly respond to complex situations based on on-site semantic information, which is difficult for traditional cloud AI to do in time, thus forming a differentiated advantage in the edge computing market.
Artificial Consciousness and Brain-like Industry: The DIKWP model has been regarded as an important framework in artificial consciousness research, and related standardization work is also advancing. If DIKWP chips are launched first, they will occupy the commanding heights in this frontier field, lead the cognitive computing ecosystem, and are expected to become the key computing power cornerstone for future industries such as the Metaverse and intelligent robots.
In summary, global AI chips are turning from a computing power race to a new inflection point of intelligent evolution. As a representative solution for cognitive chips, the DIKWP semantic chip fits the development trends of intelligence, semantization, and scenario-based application. It can fill the gap in semantic understanding and reasoning capabilities of current AI chips, containing huge market opportunities and strategic value.
DIKWP Semantic Computing Principles and Hardware Requirement Mapping
The DIKWP model divides the cognitive process of artificial intelligence into five levels: Data, Information, Knowledge, Wisdom, and Purpose. These five levels progress in sequence and form a complete semantic cognitive network through feedback iteration. Turing Award winner Marvin Minsky once compared human intelligence to a "society of mind," accomplished by the collaboration of intelligent processes at different levels. The DIKWP model provides a similar hierarchical framework, and we focus on how to map it to chip functional units so that the semantic processing of each layer can be efficiently implemented in hardware.
Function: Process raw data input from the outside. This layer emphasizes the recognition of "sameness" attributes. Data can be sensor signals, image frames, audio streams, text strings, etc. Through data layer processing, raw signals are converted into normalized, machine-readable forms.
Typical Tasks: Data cleaning, noise filtering, format conversion, feature extraction, etc. For example, camera video streams undergo denoising and smoothing at the data layer, and key visual features are extracted; IoT sensor data undergoes normalization and outlier filtering. This layer also includes basic pattern recognition, such as discovering preliminary patterns in data through simple clustering or convolution operators.
Hardware Mapping Requirements: The data layer requires high-throughput, low-latency data processing capabilities, usually relying on Digital Signal Processing units (DSP) or array computing units. To meet multi-modal data processing needs, the chip needs to integrate multi-modal data reception interfaces (such as image acquisition interfaces, audio ADCs, text I/O, etc.) and supporting preprocessing engines. For example, reconfigurable preprocessing modules can be designed on the chip to perform FFT, filtering, convolution, and other operations based on data types to extract underlying features. Since data layer processing often has streaming and real-time characteristics, hardware is required to support pipelined parallel processing of large batches of data and possess high-speed cache to buffer data streams. For this layer, high-bandwidth memory (on-chip SRAM) and high-speed buses are also essential to avoid I/O bottlenecks.
Information Layer (I: Information)
Function: Refine data into meaningful information, perform high-level feature expression and organization. The information layer focuses on answering the question "what is this," equivalent to semantic labeling and classification of data. By analyzing the relationships between data, isolated data points are woven into structured information.
Typical Tasks: Pattern classification (using machine learning/deep learning to classify or recognize data), information clustering and organization, semantic understanding (mapping low-level features to higher-level semantic concepts). For example, in computer vision, the information layer further identifies image features extracted by the data layer as specific objects, scenes, or event labels; in natural language processing, the information layer parses raw text data into structured entity, attribute, relationship, and other information. The information layer may also build a rudimentary knowledge graph prototype to visualize associations between different information points.
Hardware Mapping Requirements: The information layer typically requires massive parallel computing to run neural network inference or complex pattern matching algorithms. This requires the chip to have built-in Neural Processing Units (NPU) or tensor accelerators. Like the tensor cores in chips from Cambricon and Ascend, they can execute thousands of MAC operations in one clock cycle, suitable for implementing models like convolutional networks and Transformers for feature extraction and classification of massive data. In the DIKWP chip, the NPU unit will undertake the main transformation of Data
→
Information: for example, convolutional neural networks extract image semantic features, and recurrent/attention networks extract text semantics. Besides computing power, the information layer also needs storage structures to organize classified information, such as building basic graph structures or indexes. Hardware can introduce semantic buffering/storage modules to store identified objects or events and their preliminary associations into high-speed cache for subsequent processing by the knowledge layer. This means the chip needs to integrate efficient storage index units next to the NPU, supporting fast access based on tags or keys, providing acceleration support for the knowledge layer to build knowledge graphs.
Knowledge Layer (K: Knowledge)
Function: Form structured knowledge based on information, i.e., a knowledge network with deep understanding of specific domains or problems. This layer focuses on "how to do," containing laws and patterns induced through learning, as well as explicit relationships and rules. The knowledge layer actually assumes the role of "Knowledge" in the classic DIKW model: it is the bridge connecting information and wisdom, and also the core of the chip's cognitive model.
Typical Tasks: Knowledge representation and storage (such as building knowledge graphs, ontology networks, organizing information into graph structures); knowledge acquisition (extracting more general patterns or rules from information, such as using machine learning to discover association rules, causal relationships); automated reasoning (performing logical deduction based on existing knowledge to reach new conclusions). For example, the knowledge layer integrates objects and relationships identified by the information layer into a knowledge graph, filling entity nodes and edges (relationships) and assigning weights; against this knowledge, reasoning algorithms (such as rule engine-based or graph algorithms) can be run to answer complex queries or discover implied knowledge.
Hardware Mapping Requirements: The knowledge layer has high requirements for storage capacity and access efficiency because it needs to maintain a large amount of structured knowledge (potentially containing thousands of entities and relationships) and support fast retrieval and reasoning. The chip needs to design dedicated knowledge storage and retrieval units. A feasible solution is to integrate high-bandwidth on-chip memory (similar to Cerebras chips integrating large-capacity SRAM on the entire wafer to store model parameters), specifically used to store knowledge graphs or rule bases. Simultaneously, adopt graph computing acceleration technology: provide hardware support for knowledge graph operations (such as traversing neighbors, calculating paths). A Graph Engine can be embedded in the chip, possessing parallel traversal and pattern matching capabilities, similar to the index accelerator of a graph database, capable of matching semantic patterns in a content-addressable manner. For example, by implementing triplet matching operators in hardware, all association relationships of an entity can be queried with a single instruction. This semantic matching module can use Content Addressable Memory (CAM) or customized parallel comparison circuits to achieve fast lookup of relevant knowledge with semantic keys, realizing rapid association matching between new information and existing knowledge. Besides matching, a semantic aggregation module is also needed to synthesize multi-source knowledge and form higher-level generalizations. This can be implemented on hardware using aggregation trees or parallel reduction units to combine and deduce multiple knowledge points. For example, calculating confidence by aggregating multiple pieces of evidence. Since the knowledge layer involves logical and symbolic operations, the chip may need to introduce programmable logic arrays or microcontroller cores to execute complex reasoning algorithms that are not easy to harden, and achieve performance optimization through hardware/software collaboration.
Function: Transform knowledge into practical wisdom, requiring comprehensive consideration of context, ethics, and experience to make appropriate decisions in complex environments. This layer answers "why (do this)," corresponding to insight and value judgment in human decision-making, i.e., "doing the right thing," not just "doing the thing right." The wisdom layer introduces a value dimension, incorporating ethics and purpose considerations into technical decisions.
Typical Tasks: Decision reasoning (high-level decision-making based on knowledge, potentially using decision trees, Bayesian networks, planning algorithms, etc.); ethical judgment (incorporating moral norms and safety guidelines into decisions, such as avoiding options harmful to humans); emotion/human factor analysis (considering the impact of emotional factors on decisions in interaction scenarios). For example, in a medical diagnosis system, the knowledge layer may master various disease and treatment knowledge, while the wisdom layer chooses the most suitable diagnosis and treatment plan based on the patient's specific situation and medical ethics. Similarly, in autonomous driving, the knowledge layer knows traffic rules and vehicle behavior patterns, while the wisdom layer must balance safety and efficiency to make decisions in emergencies.
Hardware Mapping Requirements: The wisdom layer needs to handle multi-constraint, multi-objective reasoning calculations, which usually go beyond the scope of simple algorithms. This places requirements on hardware for programmability and parallel search capabilities. A design idea is to integrate an explainable AI decision engine into the chip, which may consist of a rule reasoning accelerator and several configurable processing units. For example, an ethical decision tree circuit can be designed to encode predefined ethical rules into hardware logic to ensure decisions do not violate safety bottom lines. At the same time, introduce reinforcement learning units, allowing the chip to continuously optimize decision strategies through trial-and-error feedback during operation. This can be implemented through a simplified hardware RL accelerator (with Q-value storage and update units), giving the chip online learning and adaptive capabilities. At the wisdom layer, the chip should also support multi-source information fusion, combining structured knowledge from the knowledge layer with real-time information from sensors for reasoning. To this end, a parallel reasoning architecture can be adopted: such as multiple reasoning cores simultaneously evaluating different decision branches, and hardware arbitration selecting the best plan. This is similar to the competition-inhibition mechanism in the human brain, which can improve decision speed. Since decisions output by the wisdom layer need explanation and verification, the hardware should also record key knowledge usage and rule triggering situations during the reasoning process, storing them in the decision cache/log for post-event auditing and human-computer interaction explanation.
Purpose Layer (P: Purpose)
Function: Receive goals/purposes endowed by humans or the system, and evaluate the decisions of the wisdom layer accordingly, transforming wisdom into specific action instructions to achieve goals. The purpose layer decides "what is best to do," facing the future and ensuring AI behavior is consistent with established goals ("doing the right thing"). This layer is also the interface for human purpose integration in the entire DIKWP system, ensuring AI behavior conforms to human expectations.
Typical Tasks: Goal understanding and representation (parsing high-level purposes, converting them into machine-executable internal goal representations); action planning (planning the optimal path from optional actions based on goals); purpose evaluation (measuring whether the plan given by the wisdom layer meets the goal, returning adjustment information if necessary). In conversational AI, the purpose layer parses the user's true intention and drives the response to be generated in a direction that satisfies that intention; in autonomous robots, the purpose layer evaluates and screens the action sequences formulated by the wisdom layer based on task goals, ensuring the execution of the best actions to achieve the goals.
Hardware Mapping Requirements: The purpose layer needs a purpose-oriented control hub. Hardware can implement a goal register and matching module: quickly match and compare current environmental states and wisdom layer decisions with goal conditions to judge whether decisions lead to goal achievement. For example, a purpose judgment operator can be set in the chip to calculate the deviation between the current plan and the goal expectation (similar to cost function calculation), triggering feedback adjustment if the deviation exceeds a threshold. To handle complex goals, a dual-tuple representation can be adopted: using pairs of <current state, expected goal> to characterize purpose. The hardware sends the input state along with the goal into the goal processing unit, which can be a set of configurable logic used to select different reasoning paths under different goal contexts. In addition, to integrate human instructions into the system, the purpose layer needs to support operations such as natural language parsing/generation—the chip can reuse the NPU to run small language models, converting user natural language purposes into internal representations, or converting internal decisions into externally explained language. The chip can even integrate dedicated planning engines (such as hardware units based on A* or dynamic programming algorithms) to calculate action sequences for achieving goals. Reinforcement learning also plays a role at this layer: the chip can run simplified RL algorithms to adjust and optimize wisdom layer strategies based on goal achievement. This mechanism requires hardware support for strategy evaluation and updating, such as including a strategy value table in the purpose unit and being able to update based on feedback, to gradually improve the efficiency of reaching goals.
In summary, every layer of the DIKWP model corresponds to clear hardware requirements:
High-throughput Data Interfaces and Preprocessing: Ensure raw data is converted into structured representations in real-time (Data Layer).
Powerful Parallel Computing Arrays: Support massive pattern recognition and semantic extraction (Information Layer).
Large-capacity Efficient Associative Storage: And customized graph computing and reasoning acceleration units to organize and invoke knowledge (Knowledge Layer).
Configurable Decision Logic and Learning Units: Transform knowledge into context-relevant, ethically compliant wise decisions (Wisdom Layer).
Goal Management and Planning Modules: Ensure all processing serves the ultimate goal and perform feedback adjustments (Purpose Layer).
In terminal AI scenarios (such as mobile phones, IoT devices), implementing the above functions on low-power, small-area chips requires highly optimized ASIC design and possible brain-inspired technologies to improve energy efficiency. For example, the data and information layers can use near-memory computing to reduce data movement, and the knowledge layer can use in-memory computing or asynchronous circuits to improve energy efficiency. Edge reasoning devices emphasize real-time performance and independence. DIKWP chips need to complete the entire process from perception to decision locally, so end-to-end latency must be optimized. This may require some tasks (such as model inference, graph retrieval) to be implemented with hardwired logic to reduce instruction overhead, and advance each layer synchronously through pipelining and parallel architectures. For autonomous decision systems (such as unmanned driving, intelligent robots), reliability and safety are particularly important. The chip needs built-in redundancy and verification mechanisms, allowing each layer's processing to have monitorable outputs, and adding a security gateway at the purpose layer to prevent abnormal decision output. At the same time, to cope with complex environments, the chip should support a certain degree of online learning and environmental adaptation, which means the hardware needs to reserve programmable/training units and collaborate with sensors and actuators to achieve a cognitive closed loop (perception-understanding-action-reperception).
In conclusion, the DIKWP model provides a clear functional blueprint for semantic computing chips. Chip design must perform modular mapping around these five layers of functional requirements, ensuring data flow and control flow can be efficiently transmitted between layers in the architecture, and meeting the rigorous requirements of terminal, edge, and autonomous systems for performance, power consumption, and real-time capability. Below we will propose specific solutions at the architectural level to demonstrate how to integrate the above requirements into chip design.
Based on the hardware requirements of the DIKWP model, we conceive a layered heterogeneous parallel chip architecture, integrating five layers of semantic processing functions on a single chip platform. The overall idea is to design a cognitive SoC (System on Chip) containing multiple dedicated units: it has programmable computing cores similar to CPU/NPU, as well as dedicated circuits for semantic matching and reasoning, organically combining them through high-speed interconnection and shared storage. This architecture strives for equal emphasis on parallel processing and pipeline synergy: allowing each cognitive layer to run in parallel on exclusive hardware, while supporting pipeline-style data/semantic flow and feedback between layers. The following explains the overall structure, five-layer parallel paths, semantic instruction pipeline, semantic cache, dedicated operators, and NPU subsystem integration one by one.
Overall Architecture Overview
The overall architecture can be abstracted as a combination of "1 Master Control + 4 Subsystems + Global Semantic Storage":
Master Control Unit (Purpose/Executive Core): Acts as the frontal lobe/executive control function of the brain, expanded from the Purpose layer module. It contains a purpose management unit and a global controller, with two roles: one is to store and parse the current system goals (for example, saving goal states through a Goal Register or intra-purpose memory); the other is to coordinate the work of various subsystems, issuing control signals or parameter adjustment instructions to lower-level modules based on goals, realizing top-down regulation. The master control unit is also responsible for decision approval, i.e., conducting a final purpose conformity review of decisions proposed by the wisdom layer, and only decisions that pass matching are released for execution. This unit can be implemented with an embedded microcontroller (such as a streamlined RISC core), supplemented by dedicated hardware logic to implement key functions like goal comparison and reward calculation.
Data Processing Subsystem (DPU – Data Processing Unit): Corresponds to the data layer function. It consists of multi-modal access circuits and preprocessing pipelines. For example, it includes an Image Signal Processor (ISP) for camera input, digital signal filters for sensor data, and a DMA controller for high-throughput acquisition. The DPU has a built-in hardware FSM responsible for data cleaning and feature extraction, which can be seen as the first "sensory cortex" from sensor to information. The output of the DPU is a standardized stream of data features entering the information processing layer.
Information Processing Subsystem (IPU – Information Processing Unit): Corresponds to the information layer function. This subsystem is centered on an NPU array, integrating general AI accelerator cores (such as matrix multiplication units based on tensor operations) and peripheral caches. The NPU array executes trained deep learning models to perform advanced pattern recognition and semantic labeling on data features. The IPU also contains a primary semantic cache for temporarily storing identified information such as tags/attributes. To support complex information fusion, the IPU may contain multiple different types of cores: for example, CNN cores processing visual features, Transformer cores processing text sequences, GraphSAGE cores processing temporary graph data, etc. They collectively transform data into structured information representations.
Knowledge Processing Subsystem (KPU – Knowledge Processing Unit): Corresponds to the knowledge layer function. The KPU is the most distinctive module in the architecture, containing two major parts: knowledge storage and reasoning engine. On one hand, it uses large-capacity on-chip SRAM or embedded DRAM to build knowledge base storage (such as Triple Store or Fact Table), saving fact triplets, concept nodes and their vector representations, rule sets, etc. On the other hand, it has built-in reasoning acceleration circuits, including: parallel relationship retrieval units (can perform neighbor queries on multiple knowledge nodes simultaneously, realizing batch matching of semantic associations), logical reasoning units (accelerating execution of If-Then rules or logical constraint solving, such as using bit-parallel operations to simulate rule matching), and probabilistic reasoning units (handling probabilistic verification of uncertain knowledge, such as specialized multiply-add trees implementing Bayesian updates). These hardware units are coordinated by a knowledge scheduling controller, which can trigger corresponding reasoning tasks based on information output by the IPU, such as finding superordinate/subordinate concepts or associated entities corresponding to certain information in the knowledge graph, and executing relationship tracing or pattern mining. The output of the KPU is higher-level semantic results or new knowledge (such as inferred new conclusions) for use in wisdom layer decision-making.
Wisdom Decision Subsystem (WPU – Wisdom Processing Unit): Corresponds to the wisdom layer function. The WPU can be seen as a decision processing center, integrating multi-modal information, knowledge, and external constraints to make complex decisions. Its implementation can adopt a heterogeneous multi-core structure: for example, a logical reasoning core (executing symbolic logic, rule reasoning), a planning search core (for path planning or action sequence deduction, hardware implementation of DFS/BFS or heuristic search), and an evaluation core (calculating utility/cost of plans, including assessment of ethical constraints). The WPU is designed to be programmable to support decision strategies in different scenarios. VLIW/DSP-like cores or small FPGA structures can be used to implement different decision algorithms through microcode or configuration bitstreams. The WPU collaborates with the master control unit: the master control provides current goals and constraints, while the WPU integrates these constraints with knowledge provided by the KPU for comprehensive analysis, producing action plans. The WPU also continuously listens to feedback from the environment and other layers, supporting online adjustment and iterative optimization of decisions. For example, if the effect after execution does not meet expectations, the WPU can call the reinforcement learning core for parameter updates to gradually improve decision strategies.
Global Semantic Storage and Communication Architecture: To connect the above units, the chip adopts a unified on-chip communication network and shared semantic storage. The communication network can be a high-speed on-chip bus or Network-on-Chip (NoC), optimized according to data exchange patterns between the five layers. For example, DPU to IPU requires high-bandwidth one-to-many broadcasting, IPU to KPU needs to support random small packet access, and KPU to WPU needs low-latency feedback pathways. The NoC connects various modules and supports publish/subscribe semantic messages: processing results from each layer are attached with semantic tags and broadcast via the bus, and interested modules (modules needing corresponding semantics) subscribe and read, forming a flexible semantic pipeline mechanism. regarding shared semantic storage, the chip sets up a hierarchical semantic cache/memory system. In addition to local caches carried by each subsystem, there is a global semantic memory pool for storing cross-layer shared data structures (such as semantic state of the current scene, global working memory). This storage can be located in the center of the chip, accessed by all modules via NoC, and has consistency maintenance mechanisms to ensure all layers see consistent key semantic information. For example, when KPU inference yields new knowledge and writes it to global semantic storage, both WPU and IPU can obtain updates in time for subsequent processing. Such an architecture forms a miniature cognitive system inside the chip: each part performs its own duties and interacts continuously through shared memory and communication.
The overall structure is as above, emphasizing distinct levels yet collaborative work. Data, Information, Knowledge, Wisdom layers have their own dedicated hardware units, processing their respective tasks in parallel; at the same time, the Purpose unit (Master Control) maintains two-way communication with each layer through global storage and control signals, enabling high-level goals to constrain low-level processing, and low-level feedback can also prompt dynamic adjustment of goals (such as updating goals when tasks are completed or the environment changes). This design implements the DIKWP model's philosophy of "top-down purpose-driven, bottom-up semantic growth": hardware supports the classic perception
→
cognition pipeline, and allows purpose signals to flow from upper layers to lower layers, realizing bidirectional feedback and iteration of semantics at each layer.
Five-Layer Parallel Processing Paths and Semantic Instruction Pipeline
Parallelism and pipelining are key to performance realization in this architecture. The DIKWP chip uses a five-layer decoupled parallel design, allowing each layer's processor to run independently, executing concurrently on different data or different cognitive tasks, thereby fully utilizing hardware computing power. Simultaneously, on a single cognitive task, it manifests as pipelining of data flow along the main path D
→
I
→
K
→
W
→
P, with each stage processed sequentially yet overlapping in execution to increase throughput. It should be noted that since the DIKWP model has inter-layer feedback (e.g., W-layer wisdom may require more K-layer knowledge, P-layer purpose may adjust I-layer focus), the pipeline is not fixed unidirectional but has dynamic control loops. The following explains how parallelism and pipelining are implemented in the architecture respectively:
Five-Layer Decoupled Parallelism: Architecturally, each subsystem possesses relatively independent computing and storage resources, capable of parallel processing different samples or different tasks. For example, in a smart camera equipped with a DIKWP chip, while data processing and information recognition for one frame of image are underway, knowledge reasoning or wisdom decision-making for the previous frame can proceed simultaneously in the parallel KPU and WPU without waiting for each other. This is similar to instruction-level parallelism or multi-core parallelism in CPUs, except the "tasks" here are different stages of the cognitive flow. Hardware decouples different stages through pipeline registers or task queues: data features processed by DPU are directly stored in IPU input buffers, immediately freeing DPU to process the next batch of data; information entities output by IPU are queued in KPU reasoning queues, KPU processes batches of information for knowledge completion in parallel; WPU executes decision evaluation on a group of situations that have completed knowledge reasoning. Thus, overall, the chip can simultaneously process multiple inputs at different cognitive stages per unit time, achieving linear throughput improvement. Of course, to ensure consistency under parallel operation, the chip adopts transactional semantic processing: assigning a unique semantic transaction ID to each input (or each situation), carried during processing by each layer, so that knowledge reasoning and wisdom decision-making can associate with the correct input context without crosstalk.
Semantic Instruction Pipeline: To efficiently execute a single complex task, the chip supports cross-layer pipeline operations. That is, after a "semantic task" enters the system, from data acquisition to purpose execution, it passes through multiple processing stages, each executed on different hardware, while adjacent stages produce and consume simultaneously like traditional pipelines, reducing waiting. Similar to CPU pipeline processing instructions, we can define a semantic instruction or task descriptor issued by the master control unit, containing information about the semantic task to be completed. This "semantic instruction" flows through DPU
→
IPU
→
KPU
→
WPU
→
Master Control stages via the on-chip network, triggering corresponding operations at each level. For example, the master control issues an instruction: "Detect dangerous behavior and take measures," carrying the goal=safety. Upon receiving, DPU continuously acquires camera frames; IPU performs person and action recognition on each frame (semantic sub-instruction: "Identify person-action pair"); KPU matches recognition results with dangerous behavior definitions in the knowledge base for reasoning (semantic sub-instruction: "Judge if dangerous"); WPU decides response measures for situations determined as dangerous (semantic sub-instruction: "Select alarm or intervention plan"); finally, the master control reviews and outputs the alarm signal according to the global goal (safety priority). This entire process manifests as a series of micro-instruction pipelines on hardware: each layer module has a microcode controller executing the part of the semantic instruction assigned to it. When the DPU completes operations for this layer, it passes intermediate results and remaining instruction segments to the IPU via semantic pipeline registers, while starting to process the next semantic instruction itself. Thus, layers form a series pipeline, each processing a different step of the task or a different task, improving efficiency. It is worth mentioning that this pipeline transmits not only data but also semantic meta-information (such as context ID, confidence, etc.), hence called semantic instruction pipeline. Designing this pipeline needs to solve inter-layer feedback problems. To this end, we introduce conditional pipeline and loop insertion mechanisms: when a high layer needs feedback to adjust a low layer, it can insert a modification instruction. For example, if WPU finds insufficient decision confidence, it can generate a feedback instruction requiring KPU to obtain more knowledge. This instruction is sent back to KPU via a bypass bus and merged with the original pipeline sequence. Hardware uses instruction queues and branch control logic to handle this feedback branch, ensuring the pipeline is continuous and orderly. This flexible pipeline control allows the chip to adapt to repeated probing and adjustment in the cognitive process while maintaining high parallel efficiency.
Through the combination of five-layer parallelism and pipelining, the DIKWP chip achieves an efficient semantic conduit: a large amount of input data is continuously digested in the pipeline of each layer, while each input can undergo multiple rounds of feedback reasoning to produce decisions in time. Compared to traditional serial processing AI systems, this architecture can theoretically significantly increase the processing throughput and response speed of cognitive tasks. For example, once the pipeline is filled, ideally, one stage can be completed every clock cycle, thereby continuously outputting results (similar to a CPU pipeline outputting one instruction result per cycle). In practical applications, this means real-time data such as camera video and dialogue voice can be understood and responded to in time, providing millisecond-level cognitive reactions for autonomous systems.
Note that due to the complexity of cognitive tasks, pipeline stalls and data dependencies may occur (e.g., W layer waiting for extra K layer reasoning results). We alleviate this in the architecture by adding semantic caches and asynchronous event mechanisms: when the pipeline stalls, the module can temporarily store the current semantic state in the cache, allowing processing of other transactions, and continue when dependent data arrives. This is similar to out-of-order execution/cache hits in processors improving resource utilization. Global NoC supports event notification; when a module produces previously requested data, it interrupts and notifies the waiting module to re-enter pipeline execution. Overall, the pipeline design of the DIKWP chip is more complex than that of a CPU, but the essential idea is the same: using deep pipelining and parallelism to overcome different processing loads at each stage, and coping with pipeline dependencies through control strategies to maximize hardware unit utilization and task processing efficiency.
Traditional chips use multi-level caches (L1/L2, etc.) to hide memory latency, while the DIKWP chip introduces the concept of semantic cache on this basis, optimizing data reuse and fast access for semantic computing characteristics. Semantic cache not only saves recently used data but also saves recently used semantic reasoning results, knowledge items, and even reasoning process records for direct invocation or reference by subsequent related tasks. Semantic caches in the architecture are mainly divided into three categories:
Local Semantic Cache: Set inside each subsystem to cache frequently accessed semantic data of that layer. For example, IPU's feature-label mapping cache stores recently identified objects and their feature vectors, facilitating fast matching of recurring objects (similar to word vector cache in NLP). KPU will have knowledge node caches, storing knowledge nodes repeatedly used in recent reasoning (such as main entities in the scene) in high-speed cache to avoid traversing the entire knowledge base for every reasoning. WPU can cache common decision rules or patterns, such as common obstacle avoidance behavior patterns of robots. Local semantic caches are usually designed as content-addressable or tagged caches, capable of lookup by semantic keys (such as Entity ID, Rule ID) not just memory addresses. Hardware may use CAM arrays or hash indexes to achieve O(1) lookup indexed by semantics. This allows semantic information repeatedly used in the same scene to be acquired at cache hit speeds, greatly reducing reasoning latency.
Global Shared Semantic Cache: Located at the global semantic storage pool, acting like the system's L3 cache, saving important semantic information common across layers. For example, global context of the current environment (main characters, location, time, etc.), current conversation topic or task status, etc. This part of the cache can be managed by the master control unit, using simple replacement strategies to retain recent most important semantic "fragments." When new perception results come in, they are compared with the global cache (via content addressing); if matched with existing context, relevant knowledge can be directly extracted for IPU/KPU use; if no match, normal process handling and potentially adding new semantics to cache. Thus the chip can "remember" what just happened or the current situation, avoiding repetitive reasoning in short time. For example, for the same person appearing continuously in a video segment, after the first identification, the person's identity is cached in the global semantic cache, and subsequent frames IPU only need to detect similar features to directly hit identity without re-identifying completely. This cache can also be seen as a kind of working memory, realizing functions similar to human short-term memory, crucial for continuous tasks.
Reasoning Process Cache (Semantic Log): This is a special cache used to remember recently executed reasoning links. For example, when WPU makes a decision, it goes through several rules and knowledge derivations. If these steps are cached, part of the reasoning results may be reused when similar situations are encountered, without starting reasoning from scratch every time. This is similar to reasoning experience cache or case base. In implementation, each reasoning process can be abstracted into "condition->conclusion" pattern pairs stored in a small rule cache. When the same combination of conditions appears next time, check cache to get previous conclusion directly. This requires hardware support for fast pattern matching and generalization, which can be implemented with associative memory + fuzzy matching (such as matching approximate conditions with Bloom Filter or Hamming distance). This cache helps improve reasoning efficiency and system learning ability: during system operation, it continuously accumulates common reasoning patterns, equivalent to gradually learning experience rules, becoming smarter with use. Also, the content of these caches can be used to explain AI decisions because it records reasoning chains, traceable for verification.
The semantic cache mechanism needs corresponding consistency maintenance strategies. Because multiple layers may have different views on the same semantic information, e.g., after KPU knowledge base update, old knowledge in global cache must be invalidated. To this end, the chip will broadcast knowledge update operations as messages, marking relevant cache items invalid or updated. At the same time, for experience like reasoning process cache, it may be necessary to periodically eliminate expired or unreliable entries to ensure invalid experience rules are not used. Confidence tags can be introduced, with each cache entry attached with validity period or confidence, eliminated if below threshold.
Through multi-level semantic cache, the DIKWP chip makes a clever trade-off between space and time: with moderate on-chip storage overhead, drastically reducing repetitive semantic calculations, achieving repetitive semantic immunity. This benefits both real-time performance and power consumption, because cache hits mean saving expensive computation/memory access. For example, estimating based on Cache hit rate model, if 80% of knowledge queries are solved in on-chip cache, KPU access times to external storage and latency will be significantly reduced, thereby improving overall reasoning speed.
Finally, it is worth noting that data in semantic cache itself is also very valuable information, usable as system meta-knowledge. For example, statistics on which knowledge nodes are most frequently accessed can guide offline optimization of knowledge base storage structure (similar to database index tuning). These can be further discussed in the appendix.
Dedicated Semantic Operator Modules
To achieve efficient semantic processing, the DIKWP chip, besides optimization in overall structure, also designs a series of dedicated Semantic Operator Modules at the micro-architecture level. These operators are finer-grained functional units than subsystems, usually corresponding to specific semantic computing needs, solidified in hardware as circuits, much faster than general processing. According to model requirements, we focused on designing three types of operators: Semantic Matching, Semantic Aggregation, and Purpose Judgment:
Semantic Matching Unit: Used to determine semantic correlation or similarity between two pieces of information/knowledge. This is very common in DIKWP flow, e.g.: new input information needs to match existing knowledge to see if relevant; reasoning needs to match if rule premises are met; understanding user intent needs to match user words with known intent patterns. General processing of this matching often involves calculating text similarity, vector distance, or pattern comparison, with high software cost. Therefore, the chip provides dedicated matching circuits, such as:
Vector Similarity Operator: For embedded vector representations of semantics, design a parallel MAC array to calculate cosine similarity or Euclidean distance, giving similarity scores of two vectors in one hardware operation. Used for text semantic similarity, image feature comparison, etc.
Pattern Matching Circuit: For symbolic patterns (like regular expressions, tree structure patterns), design FPGA-style parallel matching units capable of retrieving multiple patterns on text/tree simultaneously. For example, a pattern matching unit can be designed for knowledge graph relationship triplets; given template (?, relation, X), it can parallel scan dozens of knowledge records in one clock cycle to find indices of all records satisfying relation=X.
Fuzzy Matching Unit: Considering semantic matching often has uncertainty, e.g., user phrasing not exactly same as knowledge base entry but close in meaning, hardware fuzzy matching technology can be introduced. E.g., Hamming distance comparison circuit tolerating several bit differences for matching; or Bloom Filter-based approximate set query to determine if a keyword likely exists in a knowledge topic. These operators are mainly called by IPU and KPU, making semantic retrieval a hardware-level operation. E.g., when KPU needs to check if a new fact already exists in knowledge base, semantic matching unit can complete comparison in extremely short time without CPU loop traversal. Through hardware matching, knowledge query performance can improve by orders of magnitude, crucial for real-time semantic reasoning.
Semantic Aggregation Unit: Used to combine and induce multiple pieces of semantic information to obtain higher-level comprehensive results. This is similar to GROUP BY aggregation in databases or logical conjunction/disjunction calculation, playing the role of "comprehensive judgment" in cognitive process. Hardware implementation can provide dedicated support for different types of aggregation:
Numerical Aggregation: E.g., aggregated mean, sum of sensor data or statistical indicators. Tree adders, accumulation registers can be used on chip to continuously aggregate streaming data, calculating statistics without blocking.
Logical Aggregation: Execute AND/OR operations on boolean semantic values (e.g., whether rule premises 1, 2, 3 are all met). Bit-parallel logic units can be designed to perform logical operations on multiple boolean variables at once, outputting result vectors. Thus when WPU evaluates complex conditions, a group of logical judgments can be completed in parallel. E.g., rule check of 10 conditions can give all truth value results in a few clock cycles via two-stage circuits without sequential judgment.
Evidence Fusion: In reasoning, different evidence may need comprehensive determination of conclusion, like fusion of multiple evidence improving confidence in Dempster-Shafer evidence theory. This can be implemented by dedicated operators: design multiplication-normalization circuits accepting multiple confidence probability inputs, calculating fused probability. This process involves multiple multiply-add operations, hardware completes in parallel at once, much faster than CPU iteration. Also multi-modal results output by neural networks need fusion, chip can implement weighted fusion formulas directly in hardware.
Semantic Compression: Aggregation also includes refining abstracts, like summarizing a text paragraph into one sentence meaning. This belongs to NLP tasks, but hardware can help: e.g., extracting keywords via hardware-supported attention mechanism, then calling NPU to generate summary. Though complex language summarization relies mainly on models, hardware providing Attention acceleration is also a kind of aggregation acceleration. Semantic aggregation module usually scheduled by WPU, maybe KPU uses in knowledge merging. It improves system speed and capability for global judgment, allowing AI to quickly grasp main points and form overall situation cognition under massive information.
Purpose Judgment Unit: This is an operator specially designed for P layer, aiming to quickly assess fit between an action or reasoning result and current goal, supporting purpose-oriented choice. This is similar to implementing "evaluation function" or "reward function" in hardware. Typical functions:
Goal Matching: Compare prediction result of decision output with goal expectation. E.g., goal is controlling temperature at 20°C, purpose judgment unit calculates difference between final temperature of current adjustment plan and 20; goal is robot reaching coordinate (X,Y), calculate distance between expected route end and (X,Y). Hardware implementation can be simple subtraction/distance calculation circuit.
Constraint Checking: Many goals carry constraints, e.g., "maximize profit and risk not exceeding threshold." Hardware can compile these constraints into comparison logic, checking attributes of candidate plans; once a plan violates constraint, mark as infeasible. Similarly, ethical safety requirements can be checked here, e.g., whether decision violates prohibited action list. Since these are predefined rules, circuit implementation is efficient reliable, faster than software traversal judgment and prevents bypass.
Multi-objective Trade-off: When goal contains multiple measurement indicators (like efficiency and safety), purpose judgment unit can implement a hardware scoring/fusion module, synthesizing multiple scores into a comprehensive score. E.g., obtaining final evaluation score via weighted sum or fuzzy logic circuit. This is similar to hardware implementing a simple "utility function."
Reinforcement Learning Reward: For cases adopting reinforcement learning, purpose judgment unit also undertakes task of calculating reward: calculating immediate reward value based on current state and action result transmitted back to wisdom layer strategy module. This can be lookup table (e.g., state-reward table stored in chip) or formula calculation. Through these functions, purpose judgment unit is equivalent to an embedded "value evaluator." It shortens complex goal determination flow to a few beats of circuit latency, ensuring system can adjust in real-time: whenever WPU proposes a plan, hardware immediately judges distance or score of that plan with goal; if poor, fast feedback adjustment. This hardware-level closed loop greatly shortens iteration time of purpose-oriented decision, making AI show explicit purposiveness and convergence—converging quickly towards goal without wasting computing power on irrelevant options.
Collectively, these dedicated operator modules endow the chip with "Semantic Instruction Set" capabilities, each operator equivalent to a highly optimized semantic computing instruction. E.g., semantic matching operator can be seen as "SMATCH" instruction, semantic aggregation as "SAGGR," purpose judgment as "EVAL." With implementation at circuit level, DIKWP chip builds its own Semantic ISA; upper-layer software or compilers can utilize these instructions to complete cognitive tasks in most efficient way. This approach is similar to GPU hardware implementation of graphics instructions and tensor instructions, but extended to semantic/reasoning domain, an important innovation of DIKWP chip.
Embedding Knowledge Graph/Inference Engine Accelerators in NPU Subsystem
Modern AI chips mostly contain NPU or tensor operation units to accelerate deep learning models. The DIKWP chip is no exception; we already have powerful NPUs at the Information layer. However, compared to traditional NPUs mainly processing numerical calculations, DIKWP chips need to handle symbolic logic and graph structure calculations, which are usually done by CPU software, slow and high energy consumption. To bridge this gap, we embed Knowledge Graph and Inference Engine accelerators within the NPU subsystem, achieving tight integration of neural computing and symbolic reasoning.
Specifically, this embedding is reflected in two aspects:
Extending NPU Instruction Set to Support Knowledge Graph Operations: We encapsulate some common graph operations as instructions directly executable by NPU. For example: "Adjacency Matrix Multiplication" instruction can multiply graph adjacency matrix with a feature vector to get aggregated representation of all neighbor nodes; "Random Walk Step" instruction, given current node set, hardware randomly selects its neighbor nodes as output, realizing parallel random walk; "Graph Matching" instruction, input a subgraph pattern, hardware searches matching positions in large graph. Many of these operations can be converted to matrix calculations or sparse data parallel processing, and NPU is naturally good at matrix multiplication and parallelism, making it possible to run partial graph algorithms on NPU. For instance, knowledge graph entity linking problem can be represented as vector retrieval, efficiently approximately solved using NPU high-dimensional matrix multiplication. Through instruction set extension, developers can conveniently call these functions without caring about how underlying layer schedules tensor units to complete complex graph operations. Correspondingly, NPU micro-architecture is also enhanced: adding efficient access to sparse matrix/graph data, and special scheduling logic to handle irregular data dependencies (e.g., graph adjacency may be very sparse, requiring jump access).
Integrating Dedicated Coprocessors: Besides instruction-level improvements, we also directly integrated dedicated coprocessors next to the NPU subsystem, targeting Knowledge Graph and Inference Engine respectively:
Knowledge Graph Accelerator (KG Accelerator): This is a dedicated module, internally potentially employing graph processors or reconfigurable arrays, optimizing execution of tasks like subgraph matching, shortest path, graph traversal. It can be seen as a miniature GraphCore IPU or dedicated FPGA, specializing in massive graph data. It shares memory with NPU, tightly coupled via bus. When KPU needs to do heavy graph algorithms (like full graph reasoning), it delegates task to KG accelerator, NPU and CPU need not involve. This is equivalent to having a "mini graph data center" on chip. E.g., reasoning query on a knowledge graph with hundreds of thousands of nodes, this accelerator can complete within microseconds under hardware parallelism, whereas milliseconds on CPU. Accelerator results written back to shared storage, immediately consumable by KPU and WPU. Being integrated on-chip avoids data movement latency between traditional CPU + external graph accelerator card.
Inference Engine Accelerator: Specifically used to execute symbolic logic reasoning and rule calculation. Can design hardware pipeline based on Rete algorithm (common rule matching algorithm), or combinational logic reasoning circuit implementation based on BDD (Binary Decision Diagram). It accepts a set of premises, parallel compares rule base in its internal network, outputs list of triggered rule conclusions. This accelerator is very effective for expert systems, knowledge reasoning. Simultaneously, it can also execute some simple first-order logic reasoning, implementing hardware version of inference engine by implementing predicate logic unification and constraint solving in circuits. If KG accelerator focuses on graph operations at data level, then inference engine accelerator focuses on symbolic calculation at logical level; the two complement each other, making the chip doubly powerful in "knowledge acquisition + application."
Embedding Method: The above coprocessors can either be cooperative units of NPU, interoperating through shared memory and control interface, or independent IPs hanging on on-chip NoC, directly accessed by KPU/WPU. We lean towards the former tightly coupled mode, because NPU excels at numerical calculation, can assist processing some sub-steps within coprocessor (like probability calculation to NPU, logical matching to inference accelerator, each using strengths), meanwhile NPU scheduler can unify scheduling of the three, reducing resource conflict. E.g., when NPU array is idle waiting for data, can schedule KG accelerator to run a query, fully utilizing every clock's computing power.
Through this embedding, the DIKWP chip implements "Neural-Symbolic Integration" at the hardware level: neural network units handle perception and fuzzy association, symbolic engines handle explicit knowledge and logical reasoning. Collaborative work can exert "
1+1>2
" effect. For example, in Q&A system application, NPU can embed user question, KG accelerator quickly finds relevant entities in knowledge base, inference accelerator deduces answer based on entity relationships. This entire process completed within chip, more controllable than pure large model reasoning, more flexible than pure symbolic QA. Experts like Chen have also proposed similar views, achieving general and explainable AI hardware through hardware modules combining neural symbols. The DIKWP chip is the concrete practice of this idea.
To highlight the advantages of the DIKWP semantic chip, we compare it with representative AI chip architectures currently on the market in terms of semantic processing, explainability support, and reasoning capabilities. These include: Cambricon series, Huawei Ascend series, NVIDIA GPU Tensor Core architecture, and Cerebras Wafer-Scale Engine. Analysis follows:
Comparison with Cambricon: Cambricon chips are pioneers of China's NPU route. Their architecture focuses on acceleration of deep neural networks, providing high-throughput matrix/vector operation capabilities, adapting to mainstream AI algorithms like CV, NLP. Cambricon's advantage is strong universality, supporting various neural network models, with perfect software ecosystem. But in semantic processing, Cambricon remains at Data-Information layer: i.e., pattern recognition and classification via convolution, fully connected networks, converting input to labels or feature vectors. For knowledge representation and reasoning, Cambricon has no special design. Its Neuware SDK mainly provides neural network operator library, lacking support for knowledge graph operations, logical reasoning. Thus, to implement DIKWP full flow on Cambricon, Knowledge and Wisdom layer tasks (e.g., knowledge association, rule judgment) can only be simulated by general CPU or software, leading to huge performance bottleneck and high latency. Meanwhile, Cambricon results are black-box model outputs, unable to directly explain internal causality. In contrast, DIKWP chip possesses knowledge graph acceleration and reasoning circuits, efficiently completing knowledge reasoning at hardware level, enabling AI system to truly understand meaning and causality behind data. Its decision steps have explicit semantic tags, traceable for explanation. This is exactly what pure NPU chips like Cambricon lack. In scenarios with increasingly high explainability requirements (like medical diagnosis), DIKWP chip can provide transparent decision basis similar to expert systems, while Cambricon can only give prediction results without explaining "why."
Comparison with Huawei Ascend: Ascend series chips based on Huawei's self-developed Da Vinci architecture feature built-in 3D Cube matrix operation engine, single core executing 4096 MAC operations per cycle. This makes Ascend excellent in deep learning inference performance, with high power efficiency. Also Ascend chips provide unified architecture for cloud, edge, device multi-scenarios, backbone of domestic general AI computing power. However, Ascend's design intention is also optimizing neural network calculation, with no specialized circuits for semantic and cognitive level processing. Its "All-Scenario AI" mainly manifests as supporting CNN, Transformer, inference and training, but no instructions or hardware for knowledge reasoning. E.g., Ascend provides efficient vector, matrix calculation units (Cube), Vector processor, and Scalar processor for general control. When processing structured knowledge, Ascend needs to use scalar core + memory operations step by step, lacking parallel acceleration capability. Thus not good at executing large-scale graph algorithms or rule judgments. Additionally, although Ascend provides some small reasoning components in software stack (MindSpore), hardware-wise still CPU cooperation completion. Comparatively, DIKWP chip while retaining matrix acceleration advantage, incorporates knowledge/logic as first-class citizens into architecture, enabling machines to understand symbolic knowledge and execute symbolic reasoning through knowledge graph accelerators, logical reasoning units, making it far surpass Ascend in reasoning capability dimension. Can say Ascend is strong in "Arithmetic," DIKWP chip strong in "Reasoning Logic." Also in explainability, Ascend like Cambricon uses deep learning black box models, decision process invisible to user; DIKWP chip due to explicit cognitive hierarchy, can output knowledge links and decision basis, supporting AI result explanation and verification. This is huge advantage for safety-critical applications.
Comparison with NVIDIA Tensor Core: NVIDIA GPUs (like Ampere, Hopper architectures) provide tensor calculation peak via Tensor Core, now main force of AI computing. Tensor Core performs low-precision parallel matrix multiplication-accumulation, very suitable for convolution, Transformer operations in deep learning. NVIDIA constantly improving, like adding Transformer Engine optimizing attention calculation. However, GPU/Tensor Core still serves numerical calculation paradigm, no direct support for knowledge graph, symbolic reasoning. Although GPU can accelerate some graph algorithms (via libraries like CuGraph), due to GPU architecture not designed for irregular memory access, graph algorithm efficiency may not be high. More importantly, GPU processed models have complex internal logic and unexplainable, just mapping data to another space then threshold activation giving result, corresponding to DIKWP only realizing "Data->Information" mapping and partial "Information->Knowledge" statistical association, far from true reasoning. DIKWP chip by adding symbolic calculation hardware in architecture, endows machines with logical reasoning and purpose-driven capabilities, which GPU architecture lacks. In a word, GPU brought computing power explosion, but didn't endow AI "Understanding" and "Reason." DIKWP chip sacrifices part of general computing power (relative to GPU) for reasoning capability, enabling AI to handle small data high semantic tasks. E.g., in a scenario needing judgment based on small amount of known rules and knowledge, GPU cannot function, while DIKWP chip can directly hardware execute rule deduction, quickly reaching conclusion. Furthermore, regarding reasoning chain explainability, GPU runs large matrix operations, human hard to understand process; DIKWP chip runs process close to human logic (calling which knowledge, taking which rule), thus naturally possessing better transparency.
Comparison with Cerebras Wafer-Scale Engine: Cerebras WSE represents extreme pursuit of computing scale, making entire 12-inch wafer into one chip, latest WSE-3 possesses 900,000 computing cores and 44GB on-chip SRAM, bandwidth 21PB/s. Such huge resources allow WSE to hold giant neural network models at once, achieving ultra-high throughput training and inference. For data-driven deep learning tasks, large model parameters reside directly on-chip, saving distributed communication overhead, performance is astonishing. However, Cerebras core is still tensor processing (each core actually array of ALU + local storage), mainly running feedforward neural network calculations. Although due to resource surplus, software can implement some graph or reasoning operations on it, essentially WSE still lacks cognitive level design. Stuffing an entire knowledge graph into 44GB SRAM might be feasible, but fully utilizing 900,000 cores for reasoning on it is not easy, because reasoning task parallelism, data locality far inferior to matrix multiplication. In contrast, DIKWP chip doesn't win by absolute computing power, but by architecture efficiency and specificity. It customizes modules for five-layer tasks, making every unit resource play greater role. E.g., DIKWP knowledge accelerator might only occupy tens of thousands of gates logic, yet complete knowledge retrieval with very small energy consumption; Cerebras even using thousands of cores to do same, inefficient due to lack of dedicated logic. Also WSE high power, expensive, only suitable for data centers. While DIKWP chip can be designed as low-power SoC for terminal/edge, sinking cognitive capability to local devices, achieving things only WSE + large model in cloud could do (just different ways, one relies on big data training, one on embedded knowledge and reasoning). Regarding explainability, Cerebras running large models still can't escape existing deep learning "black box" problem; DIKWP chip provides white box logic. Therefore, DIKWP chip can be viewed as "Lightweight Cognitive Engine," not competing for large model computing peak, but providing semantic understanding and reasoning capabilities difficult for existing giant chips to realize, expanding AI chip capability boundary in another dimension.
Unique Advantages of DIKWP Chip: Summarizing above, compared to mainstream AI chips, differentiation advantages of DIKWP semantic chip can be summarized as:
Native Semantic Processing Support: Chip integrates semantic understanding mechanism from architecture, able to directly operate on concepts, relationships, rules at hardware level. Avoiding situation in traditional chips where semantic tasks rely on software, causing inefficiency or inability to implement.
Explainability and Controllability: Hierarchy brought by DIKWP model allows every step of reasoning made by chip to have corresponding symbol output (e.g., which knowledge activated, which rule used), realizing "every step of decision traceable and understandable." While Cambricon, Ascend, NVIDIA etc. can only provide results, internal decision basis unknown. This makes DIKWP chip extremely attractive in fields needing trustworthy AI.
Reasoning Capability: DIKWP chip not only does pattern recognition but also logical reasoning and goal planning. It has built-in inference engine, equivalent to running a small expert system or brain frontal lobe on hardware, point not possessed by other chips. Actually, Cambricon etc. equivalent to AI brain sensory cortex, while DIKWP chip attempts to hardware-ize cognitive cortex even decision center, enhancing depth of AI autonomous decision.
Human-Machine Value Alignment: Due to introduction of Purpose layer, DIKWP chip can embed human values and goals into AI decision. This makes AI more controllable, safe. In contrast other chips only calculate optimal solution, conformity to human expectations relies on external monitoring. DIKWP chip lets AI "know good and evil" at hardware level, possessing built-in value standards (implemented via rules and constraint logic).
Real-time Capability: In edge reasoning scenarios, DIKWP chip completes full flow from perception to reasoning locally, no cloud computing needed, reducing network latency. Also, shortening reasoning path via dedicated accelerators allows complex decisions to be completed in milliseconds or faster. Critical for applications like autonomous driving, industrial control needing real-time decisions. Other solutions often need sending perception results to CPU or cloud for further analysis, hard to achieve end-to-end real-time closed loop.
Of course, DIKWP chip also faces challenges: architecture relatively complex, initial software ecosystem scarce, users need education on new paradigm; universality might be inferior to GPU/NPU, needing customized knowledge and rule bases for specific domains; not dominant in pure data-driven large-scale training tasks, etc. These need overcoming through gradual optimization and application selection. But overall, DIKWP chip represents a brand new AI hardware thinking, expanding possibility of "Understandable AI." As commented: "Future AI computing hardware design will be composed of various explainable hardware modules," DIKWP chip is pioneer practice of this concept, leading current mainstream chip architectures in semantic processing and reasoning capabilities.
Application and Scenario Prediction
As a frontier cognitive computing hardware technology, the DIKWP semantic chip will bring a qualitative leap to numerous AI application scenarios. Here we outlook several typical application fields and analyze the value of DIKWP chips:
White Box AI Terminal Devices: Referring to terminals like smartphones, home assistants, wearables directly facing users and emphasizing decision transparency. Traditional smart terminals mostly use deep learning models for prediction but cannot explain their behavior. Terminals equipped with DIKWP chips will become true "white box" AI partners.
Application Scenario Example: A smart medical assistant device (like portable medical advisor) with built-in DIKWP chip. After user describes symptoms, device performs semantic understanding locally: Data layer analyzes sensor and user voice data, Information layer identifies key symptom information, Knowledge layer accesses medical knowledge base to reason possible causes, Wisdom layer suggests plan combining user past history and medical ethics, Purpose layer ensures plan meets "cure with minimal side effects" goal, then device gives diagnosis suggestion and explains reason (e.g., "Based on your symptoms and history, I infer it might be Disease X, because knowledge base shows Disease X has similar symptoms; suggest Treatment Y, effective for you last time. This fits your primary goal—fast recovery and avoiding hospitalization."). Entire process completed on local chip, data not uploaded to cloud protecting privacy, and every step has basis. Making user convinced and reassured about AI diagnosis. Or smart speaker, can fully understand complex semantic commands locally: "If temperature above 30 degrees and someone home, turn on AC." DIKWP chip lets speaker directly understand logical relationship and intent (maintain comfort), controlling appliances and explaining execution logic accordingly. White box AI terminals also promising for personalized assistants: since chip can embed user specific knowledge and preferences, assistant can make decisions fitting personal intent. E.g., schedule planning robot considers user health (knowledge) and goal (work-life balance) giving plan, and can explain "I arranged this because you didn't rest enough last night, morning exercise arranged for relaxation, fitting your health priority goal." Such explainable intimate devices will greatly improve user experience and trust.
Explainable Smart Cameras: Smart cameras can already recognize people and objects in images, but future requires understanding scene semantics, making explanatory judgments. E.g., in security, not just identifying intrusion, but judging behavioral intent and alarming, providing decision basis for review. DIKWP chip very suitable for deployment in cameras as edge AI engine.
Application Scenario Example: A bank security camera system, each camera has DIKWP chip. Monitoring hall, camera not only detects suspicious persons but understands complex scenes: person walking fast to counter, putting hand in pocket—chip Data layer captures action, Information layer identifies person holding object resembling weapon, Knowledge layer combines knowledge (like robbery behavior characteristics) reasoning dangerous situation, Wisdom layer decides to issue warning and record evidence, Purpose layer ensures response meets "guarantee personal safety" goal (maybe silent notification to security instead of alerting criminal). In process, camera writes semantic clues into video metadata, e.g., "Person A behavior=armed robbery probability 90%; basis: matches knowledge base 'gun threat' pattern." Security personnel receiving alarm can instantly get these explanations, quickly judging situation to act. Much more reliable than traditional unexplained motion detection alarm, avoiding false positives/negatives. Also in judicial forensics, these semantic logs generated by chip can assist restoring AI analysis process, improving evidence credibility. Similarly in traffic monitoring, chip can understand scene semantics, like "vehicle wrong way" "pedestrian fall" reasons, and explain decisions (e.g., red light extended because pedestrian fall detected needing more crossing time). Industrial cameras can also utilize semantic chips, realizing explainability of anomaly detection: after detecting product defect, chip gives defect type and cause inference (e.g., "surface scratch, likely caused by upstream process mechanical friction"), helping engineers quickly locate root cause. Overall, DIKWP chip will endow cameras with ability to understand plot, upgrading from passive monitor to active analyst, reaching new level of situational awareness.
Semantic Industrial Robots: Industrial robots evolving from pre-programmed arms to autonomous intelligent agents. Future robots not only complete fixed actions but understand instruction semantics, adapt to changing situations, collaborate with humans and explain behavior. DIKWP chip can serve as robot's "brain," endowing cognitive reasoning capability, making it truly flexible agent, not clumsy automaton.
Application Scenario Example: A warehousing logistics robot equipped with DIKWP chip. In warehouse, it receives vague instruction from human keeper: "Move fragile items arrived recently to lower temperature zone carefully." Ordinary robot recognizing only barcodes and coordinates might fail, as instruction involves semantics ("recently arrived" "fragile" "carefully" "lower temperature"). DIKWP-driven robot first gets cargo info via camera and database (Data+Information layer identify cargo tags, attributes), Knowledge layer checks knowledge base understanding "fragile items=Fragile, need gentle handling; lower temperature zone=Special Area X temperature < 10°C," then confirms suitable zone via sensors, Wisdom layer plans carrying path and grip force, noting avoidance of bumpy roads (based on knowledge "bumps damage fragile items"), Purpose layer evaluates path plans against goal (safe transport of fragile items), selects smoothest safest one. During transport, if environment changes (e.g., path blocked), robot instantly replans path, still with protecting fragile items as primary goal. Robot can explain this series of actions: e.g., when detouring, robot voice prompts: "Path ahead congested, to ensure fragile cargo safety, I choose longer but smoother route." Such robot truly understands task intent and precautions, not rigidly executing preset program, showing human-like wit and steadiness in dynamic environment. Semantic robots also suit human-machine collaboration, e.g., assembly line robot understanding worker gesture semantics, adjusting cooperation rhythm, or medical assistant robot understanding doctor verbal instructions (with many implied knowledge) and executing correctly. Plus, decision transparency makes human colleagues more assured—worker can ask why robot stopped, robot explains "detected person ahead, paused based on safety rules," eliminating distrust caused by traditional robot "black box."
Edge Cognitive Engine: In smart cities, unmanned systems, IoT, often need deploying AI on edge devices close to data source to reduce cloud dependence. These edge AI need not only perception but also certain cognitive judgment and autonomy. DIKWP chip very suitable as edge cognitive engine, sinking cognitive computing to field, improving system autonomy and resilience.
Application Scenario Example: An edge control node of smart grid, monitoring regional power system and locally regulating autonomously. Equipped with DIKWP chip, node performs multi-layer semantic processing on sensor data: Data layer reads voltage, current data, filters noise; Information layer identifies abnormal patterns (like frequent voltage fluctuation) and generates preliminary alarm info; Knowledge layer queries grid knowledge base reasoning possible causes (e.g., combining transformer operation knowledge judging possible overload, fault or external interference); Wisdom layer decides emergency measures based on comprehensive safe power supply principles (like switching redundant lines, adjusting load allocation), Purpose layer ensures measures meet "guarantee power supply stability" purpose while not violating grid dispatch regulations (embedded human-defined constraints). In process, edge node autonomously handles 90% of general anomalies, reporting to cloud only when very complex or global dispatch needed, greatly reducing central control pressure. And, it attaches explanation to each action (e.g., "Switched to backup line B, because detected abnormal temperature rise in line A, suspected overload, preventive switch required by rules"). Similar edge cognitive engines usable for smart traffic roadside units: deploying chips in roadside boxes, real-time understanding of road conditions (identifying accidents, flow anomalies), locally optimizing traffic light timing or induction info, then coordinating with center. Drone relay station also a scenario: drone with chip doing aerial surveillance and scene understanding (like disaster search and rescue identifying people, analyzing terrain), autonomously deciding flight path and sending only necessary info back to base. In short, with DIKWP chip, edge devices upgrade from "sensing end" to "cognitive cerebellum," realizing Data
→
Information
→
Knowledge
→
Action closed loop locally, greatly improving system autonomy and response speed, building more robust distributed intelligence for smart cities, industrial IoT.
Metaverse Digital Human Chip: In Metaverse, games, and virtual assistants, intelligence requirements for Digital Humans increasing. Future digital humans need real-time dialogue, understanding emotion and context, continuous memory and personality. This poses new challenges for AI chips: not only accelerating language models but supporting long-term memory and knowledge reasoning to maintain digital human "persona" and context. DIKWP chip fits this need well, serving as dedicated AI accelerator for digital humans.
Application Scenario Example: A Metaverse platform wants to provide thousands of interactive digital human NPCs, conducting highly simulated dialogue and collaboration with users. These NPCs driven by DIKWP chips on servers or terminals. Each chip maintains that NPC's "five-layer mind"—Data layer processes user voice/action input (auditory visual), Information layer parses into semantics (identifying what user said, what emotion), Knowledge layer uses NPC built-in knowledge (including world knowledge and NPC background settings) to understand meaning and associate relevant topics, Wisdom layer decides how to respond based on NPC personality and goal (like helping player or advancing plot), Purpose layer ensures response fits NPC long-term goal and meaningful to current interaction. E.g., player asks: "Remember the dragon we defeated last time? What's its weakness?" NPC chip retrieves past shared experience in Knowledge layer (if NPC has memory storage of player last task), finds "dragon weakness is fire" knowledge, then Wisdom layer judges player might be planning next move, decides to provide info: "Of course I remember, that dragon fears fire." And explains because of previous battle experience. Whole dialogue NPC consistent, because chip Knowledge layer maintains NPC memory and relationship graph, Wisdom layer follows NPC character/motivation (like brave or cunning) to decide tone and content, Purpose layer always guided by satisfying plot advancement or player experience. Compared to simple LLM generated dialogue, this digital human has long-term memory (Knowledge layer implementation), controllable behavior (Purpose layer constraint, e.g., prohibiting spoilers), stable personality (encoding NPC personality rules in Wisdom layer) and explainable (NPC can even explain own behavior, e.g., "I do this because it's mission King gave me"). Cognitive architecture provided by DIKWP chip makes digital human closer to real personality, with self-consistent "thought process." In Metaverse, whether mentor NPC, partner NPC or opponent NPC, can configure chips with different knowledge and purposes, making behavior patterns meet expectations and diverse. Similar chips usable for virtual customer service, virtual anchors in reality, enabling natural communication with users while internally auditing own words/deeds to fit corporate values and policies, preventing AI uncontrolled speech. This will drive digital human industry towards trustworthy, intelligent new era.
Scenarios above just tip of iceberg. Foreseeable that DIKWP semantic chip will have wide applications, including but not limited to: autonomous unmanned systems (unmanned vehicles, warehouse management), smart education (cognitive capable education robots, teaching according to aptitude and explaining knowledge points), smart healthcare (medical imaging analysis chip, giving diagnosis suggestions and explainable reports), military simulation and decision (command decision aid chip, fast deduction and giving strategy basis), even art entertainment (AI collaboration chip understanding creator intent). Its appearance marks our move from "Perceptual Intelligence" to "Cognitive Intelligence" era. As Professor Yiran Chen said, AI hardware must support both neural networks and logical reasoning mechanisms to build truly powerful intelligence. DIKWP chip is undoubtedly important exploration in this direction, its mature application will profoundly change how we interact with AI, spawning new products and markets.
Mass Production and Cooperation Route Suggestions
Realizing large-scale application of DIKWP semantic chips requires a step-by-step, ecosystem co-construction process. We propose phased implementation paths and cooperation/standardization suggestions here to reduce R&D risks, accelerate industrial landing, and leverage partner advantages.
Phased Implementation Path
Phase 1: Semantic Coprocessor Prototype Development – Initially, develop a DIKWP semantic coprocessor IP or accelerator card, focusing on partial key functions, to verify core concepts and open market. This coprocessor can be used as add-on acceleration unit for existing systems (PC, server, embedded board), working with CPU/GPU. E.g., first generation product positioned as "Knowledge Graph and Logical Reasoning Accelerator Card": providing high-speed knowledge retrieval, rule reasoning functions, inserted into data center servers, accelerating knowledge introduction and explainable analysis for large models. Or cognitive coprocessing chip for robot control board: retaining only KPU+WPU functions, main CPU responsible for perception and execution, coprocessor for semantic understanding and decision. Through such small-scale products, team can test hardware implementation difficulties, optimize semantic ISA and architecture. Market-side, find customers willing to try new things (like financial risk control departments needing real-time knowledge reasoning, industry customers needing local knowledge base reasoning) for pilots. In this phase, chip process and scale can be moderately conservative (e.g., FPGA verification, or low-end process tape-out), goal is fast iteration of feasible architecture solution. Key is proving feasibility and advantage of DIKWP model hardware-ization, e.g., showing orders of magnitude performance improvement over CPU/GPU on complex reasoning tasks, or significant explainability improvement. This lays technical and commercial foundation for subsequent large-scale investment.
Phase 2: Dedicated SoC Integration – Once coprocessor verified successful, enter next phase: develop complete DIKWP dedicated SoC, i.e., integrating all aforementioned subsystem modules on one chip, forming autonomously working cognitive processor. Functionality expands to cover five layers: adding DPU and IPU modules, enabling chip not only to accelerate reasoning but directly process perceptual input, becoming independent AI brain. SoC design needs to consider embedding CPU cores, peripheral interfaces etc., becoming general computing platform. Meanwhile, upgrade to mainstream high-performance process (like 5nm, 7nm) to improve frequency and energy efficiency. SoC can offer different specs: high-end models for cloud servers or unmanned vehicle hosts (pursuing performance parallelism), low-power models for mobile terminals or edge devices (pursuing energy efficiency ratio). Focus of Phase 2 is software-hardware synergy: developing supporting software stack (compiler, runtime, middleware) supporting five-layer programming model, mapping models and applications to chip units. Also need to define interfaces with sensors, actuators well, easing chip integration into terminal products. Collaboration-wise, partner with robot manufacturers, security equipment makers to develop custom SoC, testing and adjusting DIKWP architecture in real terminal environments. E.g., partner with a robot company to Tape-out a cognitive control SoC, used in their robot prototype, realizing semantic-level autonomous behavior, proving SoC design value in one go. Once SoC mature, time for mass production: seek foundry mass production, module yield and consistency must meet standards, consider cost control. We expect DIKWP SoC product forms to diversify, such as edge cognitive server boards, smart camera SoM modules, terminal AI chips, customized for different industry needs.
Phase 3: Cognitive Computing Platform Ecosystem – Final phase, transcend single chip, build complete cognitive computing platform. Includes: combining multiple DIKWP chips into System on Chip or modules, realizing larger scale cognitive computing (e.g., multiple chips in vehicle central computing unit coordinating visual, language, multi-sensor inputs); developing unified software platform and toolchain, facilitating developers utilizing DIKWP hardware, e.g., high-level semantic programming language, white box AI model library, simulation and verification tools; promoting industry standards, enabling DIKWP chips to interact smoothly with other systems (cloud services, traditional AI modules), data format and protocol compatibility. At this phase, launch industry solutions combined with application needs, e.g., "Explainable Medical Imaging Analysis Machine" (built-in DIKWP chip + medical knowledge base), "Intelligent Driving Cognitive Engine" (vehicle domain controller integrating DIKWP chip) etc. packaged products, providing integrated software-hardware services. Through these solutions, cultivate ecosystem: attract AI algorithm companies to develop cognitive applications on platform, industry users to do customized knowledge bases based on platform, third-party hardware vendors to develop peripheral sensor/actuator modules adapting to DIKWP platform. Industry alliance or developer community can be established now, sharing best practices, accelerating application landing. When ecosystem prospers, DIKWP chip no longer single product, but part of cognitive computing standard platform, constituting AI infrastructure link together with cloud AI, large models, knowledge engineering.
Cooperation Partner Suggestions
To realize above paths, must utilize external cooperation resources well, accelerating technical and market breakthroughs. Suggest focusing on following partners:
Semiconductor Manufacturing and IP Cooperation: Establish cooperation with leading foundries (like TSMC, Samsung or domestic SMIC), obtaining advanced process support and design services. For IP, cooperate with EDA and IP providers like Synopsys, Cadence to get high-performance SerDes, NoC IP, memory compilers, shortening design cycle. Also, RISC-V ecosystem usable: partner with RISC-V Foundation members, integrating DIKWP instruction extensions into open instruction set, leveraging existing open IP to build CPU part. Lowers development risk, improves compatibility.
Scientific Research Cooperation: Establish joint labs or projects with universities and research institutes, utilizing academic power to tackle frontier problems. E.g., partner with Tsinghua University, Beijing Academy of Artificial Intelligence etc. with accumulation in brain-like chips, cognitive computing, optimizing architecture design; partner with CAS Institute of Automation, Institute of Computing Technology, solidifying their achievements in knowledge reasoning, knowledge graph processing algorithms into hardware logic. Can also fund related topics, cultivating specialized talents, reserving intelligence for long-term development.
Software and AI Enterprises: To enrich application scenarios, cooperation with AI algorithm companies, software platform enterprises necessary. E.g., partner with domestic leaders in Knowledge Graph, NLP (like Baidu, Alibaba DAMO Academy), making their software frameworks support DIKWP chip, accelerating own applications running on our hardware; partner with industrial software enterprises, using chip for industrial knowledge systems; partner with medical IT enterprises, implanting medical knowledge base and reasoning models. In these collaborations, we provide hardware and basic tools, they provide industry knowledge and application development, jointly creating solutions. Also helps form customer cases, proving chip practical value.
Downstream Equipment Manufacturers: Actively build partnerships with smart hardware manufacturers, getting DIKWP chips into their product lines. E.g., develop next-generation explainable AI cameras with security monitoring leaders; co-research cognitive controllers with robot companies; discuss using DIKWP accelerated reasoning in smart cockpits or autonomous driving domain controllers with auto makers. By letting downstream manufacturers participate in design phase, they can raise actual needs, we can also lock market in advance. Also endorsement from these industry giants helps win investment and industry attention.
Investment and Industry Alliance: Seek investment cooperation from industry funds, AI special funds, accelerating R&D and mass production. Can unite related enterprises to establish "Cognitive Chip Alliance" or join AI standard organizations, promoting writing DIKWP concepts into standards and norms. Currently, international and domestic standardization work on artificial consciousness, explainable AI has started. We should actively participate, e.g., through DIKWP Artificial Consciousness Standard Committee led by Professor Yucong Duan, making our hardware interfaces, evaluation methods part of standards, increasing recognition and influence.
Standard Route Suggestions
Standards and norms crucial for promotion of emerging technologies. We suggest promoting standardization in following directions:
DIKWP Model and Evaluation Standards: Promote inclusion of DIKWP five-layer model into AI system capability evaluation standards. E.g., formulate "Cognitive Intelligent Chip DIKWP Capability Evaluation Method," including indicators like processing accuracy of each layer, explanation effectiveness, intent alignment degree, giving industry unified reference. Highlights our chip strengths, also guides competitors towards our thinking, enhancing discourse power. Can unite research institutes and industry associations to formulate this.
Semantic Computing Instruction Set Standard: If adopting open architecture like RISC-V, can propose defining a set of semantic extension instructions (like aforementioned SMATCH, SAGGR, EVAL) as standard extensions, allowing other vendor chips to implement, forming ecosystem. As inventors we can contribute instruction specs and provide reference implementation, promoting passing in RISC-V community or even ISO standards. Similar to SIMD, neural network instruction standardization process before. Once standard, our chip compatibility and market acceptance improve.
Knowledge Representation and Exchange Standards: Knowledge representation used inside chip (e.g., graph storage format, rule representation format) best compatible with mainstream standards, like RDF/OWL (Semantic Web standards) or OpenKG norms. Helps knowledge base migration and interoperability. Can be compatible with RDF triplet format in implementation, or support SPARQL query, making chip easy to access existing knowledge graphs. Can call for formulating Edge Knowledge Graph Exchange Standards in AIoT, edge computing standard organizations, enabling different devices to exchange knowledge. Our chip providing hardware basis will be prioritized for adoption.
Trustworthy and Ethical AI Standards: DIKWP chip emphasizes purpose orientation, embedded values, fitting Trustworthy AI concept. Can participate in government or international AI ethics standard formulation, e.g., clauses on "AI systems should have explainability, controllability." We can provide technical means explanation (how chip achieves traceability of every step, value embedding), even advocate "Chip-level AI Ethics Switch" standard in future (e.g., chip provides hardware security module ensuring certain ethical rules unmodifiable). This makes our products more favored under policy guidance, forming competitive barrier.
Application Interface Standards: For convenient industrial application, unified API/model interfaces should be formulated or adopted. E.g., for camera application, define "Semantic Camera API," specifying how to get structured scene info from chip; for robots, define "Cognitive Control Interface," allowing upper software to send high-level task intent to chip via unified instructions. Such standard interfaces can combine with ROS robot standards, industrial Ethernet protocols etc. We can promote in industry associations, listing these interfaces as new norms for smart devices. Thus customers integrating need not learn complex underlying layer, achieving plug and play.
In promoting standards, note negotiation with parties, striving for dominance while compatible with existing results. Once standard established, we should actively open source partial implementation or provide reference design, lowering industry adoption threshold. This forms our ecosystem moat.
In summary, mass production and promotion of DIKWP chips need dual drive of technology and industry. Technically, through Coprocessor
→
SoC
→
Platform stages, gradually perfect product, reduce risk. Industrially, through broad cooperation and standard formulation, build ecosystem, amplify influence. We believe, with joint efforts of all parties, DIKWP semantic chip will move from lab to scale application, leading next generation smart chip wave, realizing leap from academic innovation to industrial value.
DIKWP: Data-Information-Knowledge-Wisdom-Purpose model, cognitive computing framework proposed by Professor Yucong Duan, including five layers of Data, Information, Knowledge, Wisdom, Purpose. Extends classic DIKW model, adding Purpose at top layer for driving AI semantic feedback and goal alignment.
Semantic Computing: Computing process handling meaning and relationships of symbols, opposed to numerical computing. Includes natural language understanding, knowledge reasoning, concept matching. DIKWP chip sinks semantic computing to hardware layer, executing efficiently in parallel.
NPU: Neural Processing Unit. Dedicated chip unit accelerating neural computing like deep learning, such as Cambricon NPU, Huawei Da Vinci architecture. In DIKWP chip, NPU responsible for pattern recognition calculation in Information layer.
Knowledge Graph: Graph structure representing knowledge, nodes represent entities/concepts, edges represent relationships. DIKWP chip uses Knowledge Graph in Knowledge layer to store and organize information, accelerating reasoning via graph algorithms.
Inference Engine: System executing logical reasoning. Hardware inference engine refers to module implementing rule matching, deductive reasoning via circuits, used in Wisdom layer to derive conclusions from knowledge.
White Box AI: Explainable, auditable AI, opposed to black box. White box AI system can give basis and process of its decision. DIKWP chip naturally supports White Box AI features through explicit five-layer processing and logging.
Reinforcement Learning: Machine learning method, strategy obtaining maximum cumulative reward through trial and error interaction with environment. DIKWP chip can support simple reinforcement learning (e.g., Q-learning update) with hardware at Purpose layer, for continuously optimizing decisions to reach goals.
Edge Computing: Performing calculation processing at location close to data source, reducing reliance on cloud. DIKWP chip suitable for deployment on edge devices for real-time cognitive reasoning.
DIKWP Five Layers and Hardware Module Correspondence:
Data Layer (D): Data Processing Unit DPU – Including multi-modal data interfaces, circuit preprocessing, feature extraction pipeline.
Information Layer (I): Information Processing Unit IPU – Centered on NPU array, accelerating classification recognition, containing primary semantic cache.
Knowledge Layer (K): Knowledge Processing Unit KPU – Containing knowledge storage (Knowledge Graph) and reasoning accelerator (Graph Computing Unit, Logical Unit).
Wisdom Layer (W): Wisdom Decision Unit WPU – Heterogeneous multi-core structure, used for synthesizing knowledge to make decisions, with rule reasoning core, planning search core.
Purpose Layer (P): Master Control/Purpose Unit – Managing goal states, controlling overall flow, evaluating decision fit with goals, outputting final instructions.
Each layer module can work independently, and interact with other layers via shared memory and control signals, constituting complete cognitive system.
Currently, DIKWP model mainly comes from academic theory and software simulation implementation. Professor Yucong Duan's team has verified DIKWP effectiveness on artificial consciousness experimental platform, published multiple related papers. Regarding chip architecture, related concepts applying for patent protection, including "Cognitive Processor Architecture Based on DIKWP," "Semantic Caching Method," "Goal-Oriented Reasoning Circuit," etc. Also, similar ideas have signs internationally, like IBM's neuro-symbolic AI project, MIT's explainable hardware research, but no public hardware implementation yet. Solutions described in this white paper condense multiple independent innovations, will cover fully in patent layout later to guarantee intellectual property and technical leading advantage.
(Due to document format limitations, structure diagrams described in text here. Readers can refer to descriptions of chip architecture, comparative analysis etc. in previous sections to get full picture of DIKWP chip.)
Figure 1 (Text Schematic): DIKWP Chip Overall Architecture, including Master Control Unit, D/I/K/W subsystems and Global Semantic Storage. Module functions connected by arrows, indicating five-layer main flow and feedback loops.
Figure 2 (Flow): Chip Semantic Instruction Pipeline Schematic. Task issued from Purpose layer, processed through Data, Information, Knowledge, Wisdom layers with loop feedback possible, finally Purpose layer outputs action. Layers parallel process different task steps, overlapped execution in pipeline manner.
Figure 3 (Comparison Table): Comparison table of DIKWP chip vs Cambricon, Ascend, NVIDIA, Cerebras on semantic processing, explainability, reasoning capability. Highlighting unique features of DIKWP chip in knowledge reasoning and purpose orientation.
Through elaboration in this white paper, we demonstrated full view of DIKWP Semantic Computing Acceleration Chip from theoretical basis, architecture design to application prospect. For chip manufacturers, it provides new thinking and blue ocean for future smart chips; for investors, it presents innovative direction with both technical foresight and market demand. As AI moves from perceptual intelligence to cognitive intelligence, DIKWP chip expected to become key enabler of this era, solidifying human cognitive model onto silicon, enabling machines to "know the why," reason autonomously, realizing higher level leap of artificial intelligence. We look forward to cooperating with all parties to turn this vision into reality, jointly leading next golden age of smart chips.
Latest Dialogue of Six Top AI Big Shots including Jensen Huang, Fei-Fei Li, Yann LeCun: Is there a bubble in AI? Generative AI Li Dongmei_ InfoQ Selected Articles, https://www.infoq.cn/article/EGo3G7UuL5EVWgBU671Q
Yiran Chen Latest Interview: General, Explainable AI Computing Hardware Design Will Be Next Revolutionary Technology of EDA - Tencent Cloud Developer Community - Tencent Cloud, https://cloud.tencent.com/developer/article/2011467
Neuromorphic Computing - New Generation Artificial Intelligence, https://www.intel.cn/content/www/cn/zh/research/neuromorphic-computing.html
AI Chip Market Battle, GPU vs ASIC Who Will Take Initiative? - Electronic Engineering Album, https://www.eet-china.com/mp/a448008.html
Huawei Deep Interpretation of Da Vinci Architecture: 3D Cube Computing Engine Accelerates Operation - C114 Communication Network, https://m.c114.com.cn/w126-1098331.html
Yann LeCun: "AGI Coming Soon" is Complete Nonsense, True Intelligence to be Built in World..., https://www.mittrchina.com/news/detail/14583
Professor Yucong Duan DIKWP Artificial Consciousness Model and Related Theory Analysis Report - ScienceNet, https://wap.sciencenet.cn/blog-3429562-1493393.html
Professor Yucong Duan DIKWP Artificial Consciousness Model and Related Theory Analysis Report - Zhihu Column, https://zhuanlan.zhihu.com/p/1927359166554538301
Analysis of "Measures for Ethical Review of Science and Technology in Artificial Intelligence" Public Consultation (Part 2), https://www.junhe.com/legal-updates/2815
ScienceNet - Architectural Design of Artificial Consciousness Computing Ecosystem Based on DIKWP Model - Yucong Duan's Blog, https://wap.sciencenet.cn/blog-3429562-1487112.html?mobile=1
(PDF) Design and Application of DIKWP Artificial Consciousness Chip, https://www.researchgate.net/publication/376981859_DIKWP_rengongyishixinpiandeshejiyuyingyong
DIKWP Semantic Mathematics (Computational Science) Version - Zhihu Column, https://zhuanlan.zhihu.com/p/13118366629
Design of DIKWP Artificial Consciousness Chip: Knowledge Level - Zhihu Column, https://zhuanlan.zhihu.com/p/675156327
4 Trillion Transistors, 900,000 AI Cores, Cerebras Third Generation Wafer-Scale AI Chip is Here!, https://www.icsmart.cn/74941/
Special Report on AI Chip Industry: Entrepreneurial Fission of Domestic AI Chips, https://zhuanlan.zhihu.com/p/632428194
[PDF] Independent and Autonomous AI System-Level Computing Platform is Key for Domestic AI Chips to Build Ecological Barriers, https://www.hangxincap.com/wp-content/uploads/2023/11/20230822-%E4%BF%A1%E6%81%AF%E7%A7%91%E6%8A%80-%E8%AE%A1%E7%AE%97%E6%9C%BA%E8%A1%8C%E4%B8%9A%EF%BC%9A%E7%8B%AC%E7%AB%8B%E8%87%AA%E4%B8%BB%E7%9A%84AI%E7%B3%BB%E7%BB%9F%E7%BA%A7%E8%AE%A1%E7%AE%97%E5%B9%B3%E5%8F%B0%E6%98%AF%E5%9B%BD%E4%BA%A7AI%E8%8A%AF%E7%89%87%E6%9E%84%E5%BB%BA%E7%94%9F%E6%80%81%E5%A3%81%E5%9E%92%E7%9A%84%E5%85%B3%E9%94%AE.pdf
[AI System] Ascend AI Processor - ZOMI Jiangjiang - Cnblogs, https://www.cnblogs.com/ZOMI/articles/18558512
Committed to Developing Responsible Artificial Intelligence China Releases Eight Governance Principles - News - ScienceNet, https://news.sciencenet.cn/htmlnews/2019/6/427503.shtm
10 Major Domestic AI Chips Support DeepSeek, Cambricon Absent - Phoenix Network, https://i.ifeng.com/c/8glyhvTxGIt
(PDF) Professor Yucong Duan Proposes DIKWP Theory of "Understanding",
玩透DeepSeek:认知解构+技术解析+实践落地
人工意识概论:以DIKWP模型剖析智能差异,借“BUG”理论揭示意识局限
人工智能通识 2025新版 段玉聪 朱绵茂 编著 党建读物出版社
邮箱|duanyucong@hotmail.com